avater
優分析.2024.05.06

【科技前沿】台積電宣布整合先進光電封裝技術(CPO),預計2026年啟動生產,將如何顛覆光電產業格局?

圖片來源:優分析產業數據模組

台積電(2330-TW)於北美技術論壇中宣布將整合CoWoS(晶片上晶片堆疊)先進封裝技術與CPO(共同封裝光學)技術,這個事件讓CPO共同封裝光學技術的商業化前景更加明朗,

連時程都已經確定了,預計最早於2026年開始投產。

這項宣告不僅展現了台積電在先進封裝領域的技術領先地位,也意味著將開啟一波新的科技投資高潮,尤其是在矽光和高速數據傳輸技術相關領域。

對於相關產業鏈的公司,如(不限於):光環科技(3234-TW)、波若威(3163-TW)、上詮科技(3363-TW)、光聖(6442-TW)、華興光(4979-TW)、眾達-KY(4977-TW)等,這些公司主要從事光學和光電相關產品的研發與生產,尤其是在光模組、高速傳輸設備等領域,均可能受益於CPO技術的推廣和應用。

為何台積電要導入?

AI是一個追求算力的時代,但是算力增加的同時會產生過熱問題、進而衍生散熱以及太耗電的種種問題,因此算力的增加要從整體架構去做考量,絕對不是只有晶片越強就可以。

大家希望的是算力越來越高的同時,每單位成本與耗能越來越低,有效率之後才有辦法繼續追求算力的提升。

如果台積電不幫客戶解決這個問題,那麼將來更高階的晶片就賣不出去了。而矽光是個好方法:用光來取代電作為傳輸。

矽光子市場規模

光傳輸的好處很明顯,因為光的傳輸速度比電快很多而且可以大幅降低熱能。用光來取代電,在之前可能沒有很大的必要,但是在AI時代,就變成必走的路徑。根據台積電的指引,2024年AI晶片營收將翻倍來到11~13%營收,公司預計未來每年成長50%,2028年會來到20%以上的營收占比。

根據Research And Markets統計預測,全球矽光一年市場規模約14億美元,應用市場的比重涵蓋多個領域,其中數據中心是主要的應用市場,占比約74.91%​​。

根據市場研究報告,全球矽光子市場預計在2022年至2027年間的複合年增長率(CAGR)將達到28.91%。這一增長主要由數據中心對高速數據傳輸能力的日益增長需求所驅動,矽光子技術在降低能耗和提升傳輸效率方面的優勢也促使了其他應用市場開始廣泛應用​​,例如電信市場(Telecom),預計電信領域採用矽光子的年複合成長率也接近三成。

技術分類:熱插拔、OBO、CPO是什麼?

在整個矽光子市場中,有三種技術產品,其中熱插拔技術是目前的主流,應用占比非常高。熱插拔光收發器被廣泛用於數據中心、企業網路和電信基礎設施,因為它們支持用戶在不中斷系統運行的情況下,即插即用和維護替換,這為運維提供了極大的便利和靈活性。

相對於新興的CPO(Co-Packaged Optics)技術,傳統的熱插拔光收發器在市場上的滲透率和應用廣度仍然顯著較高。例如,報告指出在預測的市場增長期間,即便CPO市場的增長潛力巨大,但熱插拔光收發器在市場中的絕對規模和應用仍然占據主導地位​​。

不過,真正具有潛力的可能是台積電這次所講的:CPO共同封裝光學,但是這個有生產上的技術難點,所以OBO是過渡期產品。

有這個概念後,讓我們一次來了解三大主流技術分類:

熱插拔技術

目前資料中心(Data center)數據傳輸主要依靠高頻寬的光通訊(Optical communication),並藉由光收發器(Optical transceiver)完成光電訊號的轉換、傳送和接收,光收發器一般被設計成可插拔型式。

熱插拔技術允許設備在不關閉主系統電源的情況下進行連接和斷開,這在服務器和網絡交換器的光學模組中非常常見。例如,當一個數據中心需要升級或更換其網絡接口卡(NIC)或交換器中的光模組時,熱插拔允許工程師直接插入或拔出光模組,而無需停機或重新啟動系統。這種技術不僅提高了維護效率,還減少了系統停機時間,對於需要24小時運行的數據中心來說尤其重要。

但因為跟交換器系統分離,使得光收發器和交換器之間的訊號傳遞需經過多個介面,當資料中心隨著5G、AIoT發展不斷提升頻寬的同時,不同介面間的訊號損失、延遲問題也日益嚴重,驅使業者導入CPO技術來整合「交換器」和「光收發器」裡頭的元件。

OBO (On-Board Optics)

OBO技術指的是將光學模組直接安裝在主機板上,而不是使用外部可插拔模組。這種設計有助於縮短光路,減少訊號延遲和損耗,從而提高數據傳輸速度和可靠性。OBO適用於高性能計算和高頻寬需求的應用,如大型數據中心或高性能計算環境。通過將光學元件更加緊密地整合到主機板上,OBO也有助於提高系統整體的能源效率和散熱性能。

CPO (Co-Packaged Optics)

CPO技術則是將光學元件和電子晶片(如處理器或交換器晶片)共同封裝在同一晶片或模組中。這種高度整合的設計可以進一步減少光路長度,極大地降低能耗和提升數據傳輸速率。CPO適用於需要極高數據傳輸速度和極低延遲的應用,如下一代數據中心和先進的人工智能計算平台。CPO的挑戰在於技術複雜性和生產成本,但隨著製造技術的進步,預計將在未來幾年內成為主流。

順序:熱插拔-OBO-CPO

CPO要求在同一晶片或小型封裝中整合全部的光學和電子元件,這在技術上非常挑戰。而OBO

光學元件(如雷射和光調變器)與電子晶片的材料和製程差異大,需要開發新的製造技術和材料解決方案。

而OBO允許製造商在不完全重新設計系統架構的情況下,逐步提升光學和電子元件的整合度。這降低了初期的技術復雜性和風險,因為OBO不需要與核心處理器或主要交換晶片共封裝。

在CPO做出經濟規模之前,OBO的接受度可能較高。

可銷售市場變得更明確

根據IEK產科國際所的統計數據,2023年資料中心所採用的矽光市場中,CPO(包含OBO)僅占整體市場不到1%,卻將以非常快的速度增長。

由於CPO的推行還在很早期的階段,因此在看相關概念股的時候,現在去看公司的CPO營收比重沒甚麼意義。股價上升、EPS卻不會有什麼改變的情況下,本益比當然變高,看起來會不太合理。

但是就像2022年台積電在技術論壇中將SoIC、InFO、CoWoS 命名為 3D Frabic一樣。這次台積電的技術宣示讓CPO的可銷售市場從原本的猜測變得更加確定。

根據如此發展下去,未來走向800G交換器時代,CPO預計將成為資料中心的主流技術。

就投資上的意義來看,擁有這種未來性技術與客戶的公司,本益比會居高不下,根據過去經驗其實反而可能是比較"合理"的現象。

這篇文章對你來說實用嗎?
很實用!
還可以
有待加強...
標籤關鍵字
光通訊
CPO